Inför rapporten: är ASML-aktien köpvärd?

Halvledartillverkarens ordernivå och effekterna av Intels problem är i fokus

Javier Correonero 2024-10-11 | 16:05
Facebook Twitter LinkedIn

 

ASML

ASML Holding (ASML) publicerar sin rapport för tredje kvartalet onsdagen den 16 oktober. Här är vad du ska titta på:

• När det gäller orderingången är tröskeln som ASML måste nå för att nå mittpunkten i sin guidning för 2025 inte alltför hög. Förra kvartalet uppgick orderingången till 5,6 miljarder EUR, så så länge den ligger kvar på 4 miljarder EUR för detta och nästa kvartal bör mittpunkten kunna uppnås. För 2025 ligger vi nu på 36,7 miljarder EUR i intäkter, jämfört med guidningen på 30-40 miljarder EUR.

• När det gäller Kina förväntas en normalisering av orderingång och intäkter, men det är fortfarande svårt att säga exakt när den kommer.

• Investerare och analytiker kommer också att försöka få fler svar på hur Intels problem kan påverka ASML. Intel är sannolikt ASML:s tredje största kund, och de har nyligen skjutit upp öppnandet av en fabrik i Tyskland, som är EUV-intensiv. På lång sikt är jag inte särskilt orolig, eftersom om Intel skulle få fler problem och skjuta upp eller ställa in fabriker, skulle någon annan ta den möjligheten så småningom.

• Sammantaget är den långsiktiga bilden fortsatt stark och jag tycker att aktien erbjuder ett bra köpläge.

Morningstarbetyg för ASML-aktien

ASML Holding ASML

Analytiker: Javier Correonero

 

 

Uppskattat verkligt värde för ASML:s aktie

Vi höjde vårt uppskattade verkliga värde för ASML den 5 juni till 90 EUR från 790 EUR då vi höjde våra långsiktiga intäkts- och EBIT-prognoser. Medan våra 2025-estimat förblir oförändrade höjde vi våra långsiktiga intäktsprognoser på grund av högre förtroende för ASML:s långsiktiga utsikter och bättre säkerhet för EUV-antagande av hög-NA. Vårt verkliga värde representerar ett P/E-tal för 2025 på 31,5 gånger.

Efterfrågan på ASML:s EUV- och DUV-utrustning är fortsatt stark, med logik- och minnesfabriker som har aviserat nya expansionsprojekt fram till 2030. För 2025 ligger vår intäktsprognos på 36 miljarder EUR, runt mittpunkten av ledningens guidning, och vi modellerar en försäljning på 58 miljarder EUR 2030, jämfört med ledningens intervall på 44-60 miljarder EUR. Vi förväntar oss att ASML kommer att komma i den övre delen av sin långsiktiga vägledning, med tanke på att de tidigare målen sattes 2022, innan införandet av artificiell intelligens. Vår uppskattning av verkligt värde motsvarar ett framtida pris/vinst-förhållande på 42 och 32 gånger för 2024 respektive 2025.

För det kommande decenniet modellerar vi en genomsnittlig årlig intäktstillväxt på 10%, med EBIT-marginaler som ökar från 31% 2023 till 45% under vårt slutår. Den fortsatta långsiktiga tillväxten inom halvledare kommer att kräva att befintliga och nya fabriker fortsätter att förvärva och underhålla litografiutrustning. Bruttomarginalen och EBIT-marginalens expansion kommer från den operativa hävstångseffekten av FoU och rörelsekostnader, och förbättringen av servicemarginalerna när ASML fortsätter att fokusera på att förbättra detta affärssegment och merförsäljning av programvara och tjänster. EUV och hög-NA EUV (lanseras 2025) har ett uppskattat förhållande mellan serviceintäkter och utrustningsintäkter på mer än 150%, jämfört med DUV:s 130%.

Vi tror att ASML kommer att fortsätta att förbättra EBIT-marginalerna för den installerade basen (service och uppgraderingar), som för närvarande har en utspädande effekt på koncernen som helhet. Serviceverksamheten fick inte så mycket fokus som behövdes under det senaste decenniet, eftersom företaget var fokuserat på att göra den mycket komplexa EUV-tekniken till verklighet. Vi antar att servicekunderna behåller sina kunder till nästan 100% med tanke på litografimaskinernas komplexitet, så vi tror att ASML kan fortsätta att sälja tjänster, förbrukningsvaror och uppgraderingar. Uppgraderingsdelen av verksamheten för förvaltning av installerad bas är marginalhöjande, även om den kan vara cyklisk eftersom kunderna ibland inte är villiga att stoppa maskinerna och minska produktiviteten för att genomföra uppgraderingar. Det är inom servicesegmentet som ASML har större utrymme att förbättra sina marginaler.

Vi förväntar oss en medelhög ensiffrig tillväxt i intäkterna under 2024 och 21% tillväxt under 2025 eftersom nya fabriksöppningar under 2025 kräver nya ASML-verktyg. År 2025 antar vi en försäljning på 36 miljarder EUR jämfört med ledningens intervall på 30-40 miljarder EUR, medan vi modellerar 58 miljarder EUR år 2030, jämfört med ledningens prognos på 44-60 miljarder EUR. Vi modellerar marginellt högre FoU- och SG&A-intensitet jämfört med ledningens långsiktiga vägledning eftersom ASML måste fortsätta att investera i teknik och produktivitet för att motivera den höga prislappen på sina maskiner.

ASML:s ekonomiska vallgrav

Vi tilldelar ASML en bred ekonomisk vallgrav som stöds av immateriella tillgångar, kostnadsfördelar och omställningskostnader. ASML är världens största leverantör av fotolitografimaskiner för halvledare med en marknadsandel på cirka 90%. Företaget har ett stort teknikgap gentemot sina konkurrenter Nikon och Canon, med stora investeringar i analys och utveckling som bör fortsätta att bredda ASML:s vallgrav och fungera som ett inträdeshinder.

Immateriella tillgångar kommer från decennier av intern kunskap och långsiktiga samarbeten med företag som Carl Zeiss och vetenskapliga analyser. Byteskostnader kommer från programvara och service av maskinerna, eftersom tillverkningsanläggningar inte har råd med oplanerad stilleståndstid eftersom detta kan kosta miljontals dollar.

ASML säljer halvledarlitografimaskiner, som används för att skriva ut nanometermönster i chips. Dess två huvudsakliga produktlinjer är DUV- och EUV-litografimaskiner. DUV har varit ASML:s vinstmotor i mer än ett decennium nu. Det har använts sedan början av 2000-talet och används fortfarande i stor utsträckning för att tillverka chips idag. ASML är det enda företaget som kan tillverka EUV-litografimaskiner, som använder en ljuskälla för att skriva ut chipmönster och som krävs för att tillverka de mest avancerade chipen som används i smartphones, datorer och träning för artificiell intelligens.

ASML:s litografimaskiner kan skriva ut mönster som är upp till 30.000 gånger tunnare än ett människohår. Dessa mönster bildar ett mycket intrikat 3D-pussel med dussintals sammankopplade lager som optimerar beräkningsprestanda, energiförbrukning och värmeavledning i ett chip. Moores lag, som säger att antalet transistorer i ett chip kommer att fördubblas vartannat år, blir allt svårare att uppnå. ASML:s maskiner fortsätter dock att erbjuda nya lösningar för att krympa chipmönster och förbättra energieffektiviteten.

Risk och osäkerhet

Vi tilldelar ASML en hög osäkerhetsgrad enligt Morningstar. ASML:s maskiner utgör en stor andel (20-25%) av ett halvledargjuteris kapitalutgifter. I de flesta branscher kommer kunderna att försöka minska kostnaderna för sina mest kostsamma poster, så ASML måste tillhandahålla unik produktivitet och servicevärde till sina kunder. ASML hanterar denna risk genom att förbättra produktiviteten per wafer och timme och genom att erbjuda ett mervärde varje gång man tar betalt för en ny tjänst. Så länge företaget kan fortsätta att tillhandahålla tekniska och produktivitetsmässiga förbättringar till sina kunder tror vi att denna risk är under kontroll, men företaget står inför en ständig press att leverera, annars kommer kunderna att försöka minska sitt beroende av litografi.

Handelsspänningar mellan USA och Kina är en annan motvind för ASML. Eftersom ASML:s maskiner innehåller delar från USA har USA effektiva befogenheter att begränsa ASML:s export till Kina eller något annat land. Restriktionerna har blivit striktare sedan 2023, och ASML har inte kunnat sälja några av sina DUV-maskiner för nedsänkning. Om exportkontrollerna fortsätter att öka kommer det att sätta långsiktig press på ASML:s översta linje.

ASML:s hantering av leveranskedjan är också kritisk. Om en kritisk komponentleverantör som Carl Zeiss skulle drabbas av produktionsstörningar skulle detta skapa en flaskhals för ASML. Historiskt sett har ASML visat god förmåga att hantera försörjningskedjan. Halvledarindustrins cykliska natur bidrar till ASML:s osäkerhet. ASML:s maskiner kostar upp till 300 miljoner EUR, så kunderna kommer att skjuta upp sina inköp i tider av ekonomisk avmattning. Kundkoncentrationen är hög, med TSMC, Samsung och Intel som står för en stor del av intäkterna.

Prenumerera på vårt veckovisa nyhetsbrev kostnadsfritt:

Anmäl dig här

Facebook Twitter LinkedIn

Securities Mentioned in Article

Security NamePriceChange (%)Morningstar Rating
ASML Holding NV770,70 EUR1,06Rating

About Author

Javier Correonero  är aktieanalytiker för Morningstar. 

© Copyright 2024 Morningstar, Inc. Alla rättigheter förbehållna.

Användarvillkor        Privacy Policy        Cookie Settings        Upplysningar